Betreff: Re: MIST!


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von Tobias Schubert am 25. Juni 2003 um 08:56 Uhr.
Als Antwort auf MIST! gesendet von Johannes am 24. Juni 2003 um 19:59 Uhr.
 
: : Hi,

: : ich habe mich gerade mal längere Zeit mit dem vhdl-Würfel auseinandergesetzt. Leider ist mir nicht ganz klar, warum das, was ich geschrieben habe nicht läuft:

: : architecture verhalten of wuerfel is
: : begin

: : process(clock)
: : variable c : integer range 1 to 6;
: : begin
: :
: : < c++;>
: : end process;

: : end verhalten;

: : Was mache ich da falsch? Wenn ich das simuliere, bekomme ich höchstens kurzzeitig eine 0 am Ausgang :(

: : Grüße,
: : Johannes
: ------------------------------

: Warum versucht das board tags anzunehmen????

: Der Code Teil sollte heißen:

: architecture verhalten of wuerfel is
: begin

: process(clock)
: variable c : integer range 1 to 6;
: begin
: [hier abfragen, ob a = 1 und ggf. b (den ausgang) aktualisieren ]
: [ c++;]
: end process;

: end verhalten;

: Johannes


*******************************************
Ich habe folgendes gemacht, das einwandfrei funktioniert:

1) Ausgang: Q: OUT INTEGER RANGE 1 TO 6;
2) Prozess von CLK und TASTE abhängig: PROCESS (CLK, TASTE)
3) Innerhalb Prozess Zähler definiert: VARIABLE Z: INTEGER RANGE 1 TO 6 := 1;
4) Innerhalb Prozess-Schleife:
a) Wert ausgeben: Q <= Z;
b) Z++: IF Z=6 THEN Z:=1; ELSE Z:=Z+1; END IF;

Und dann natürlich noch geeignet die Tastenabfrage, aber das sollte funktionieren.

Gruß
Tobias



Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert