Betreff: MIST!


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von Johannes am 24. Juni 2003 um 19:59 Uhr.
Als Antwort auf vhdl: Würfel gesendet von Johannes am 24. Juni 2003 um 19:57 Uhr.
 
: Hi,

: ich habe mich gerade mal längere Zeit mit dem vhdl-Würfel auseinandergesetzt. Leider ist mir nicht ganz klar, warum das, was ich geschrieben habe nicht läuft:

: architecture verhalten of wuerfel is
: begin

: process(clock)
: variable c : integer range 1 to 6;
: begin
:
: < c++;>
: end process;

: end verhalten;

: Was mache ich da falsch? Wenn ich das simuliere, bekomme ich höchstens kurzzeitig eine 0 am Ausgang :(

: Grüße,
: Johannes
------------------------------

Warum versucht das board tags anzunehmen????

Der Code Teil sollte heißen:

architecture verhalten of wuerfel is
begin

process(clock)
variable c : integer range 1 to 6;
begin
[hier abfragen, ob a = 1 und ggf. b (den ausgang) aktualisieren ]
[ c++;]
end process;

end verhalten;

Johannes


Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert