Willkommen! Einloggen Ein neues Profil erzeugen

erweitert

std_logic_arith nicht IEEE

geschrieben von Wlr 
Wlr
std_logic_arith nicht IEEE
28.11.2012 18:23:23
Hallo mal wieder

mein Compiler sagt mir dass:

primary unit "std_logic_arith" not found in library "ieee"

Code

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- für "conv_std_logic_vector"

der ausm Designvorschlag.
Re: std_logic_arith nicht IEEE
28.11.2012 18:35:00
Hallo,

hatte den gleichen Fehler.

Du musst "ghdl -r --ieee=synopsys sc_testbench" verwenden, statt "ghdl -r sc_testbench".

Damit funktioniert es zumindest bei mir.
Re: std_logic_arith nicht IEEE
29.11.2012 14:23:52
Habe leider das gleiche Problem. Bei der zweiten Version terminiert das nicht und es öffnet sich auch kein Fenster.
Woran könnte das liegen?
Re: std_logic_arith nicht IEEE
29.11.2012 15:17:19
gr-02-10 schrieb:
-------------------------------------------------------
> Habe leider das gleiche Problem. Bei der zweiten
> Version terminiert das nicht und es öffnet sich
> auch kein Fenster.
> Woran könnte das liegen?

Fehlt vielleicht ein "wait;" am Ende?
Sorry, Sie haben nicht die erforderliche Berechtigung, um in diesem Forum zu schreiben.