Willkommen! Einloggen Ein neues Profil erzeugen

erweitert

GHDL Fehler

geschrieben von Wlr 
Wlr
GHDL Fehler
27.11.2012 21:48:15
Hallo

ich hab mir GHDL runtergeladen für WINDOWS. Was kleines geschrieben und kompiliert.
Will ich das nun mit

ghdl -r test.vhd

ausführen, bekomm ich

C:\Program Files(x86)\GHDL\Bin\ghdl.exe:*command-line*:bad character in identifier

keine ahnung was mir das Programm sagen will damit :P
muss ich irgend was noch beachten? hab das ganz standardmäßig installiert.
Re: GHDL Fehler
28.11.2012 09:24:06
"ghdl -r" erwartet keinen Dateiname, sondern genau den Entity-Name, für den man vorher ein Binary mit "ghdl -e" erzeugt hat.

So steht es auch auf dem Übungsblatt:
ghdl -a --ieee=synopsys sc.vhdl <-- kompiliert die VHDL-Datei "sc.vhdl"
ghdl -e --ieee=synopsys meinetestbench <-- erzeugt ein Binary für die Entity "meinetestbench"
ghdl -r meinetestbench <-- führt das eben erzeugte Binary aus

Ich hoffe, die Erklärung hilft.

Grüße
Florian
Wlr
Re: GHDL Fehler
28.11.2012 17:36:31
was bringt das Zeug nach -a? also das ieee syn....

kompilieren und alles geht auch ohne
Re: GHDL Fehler
28.11.2012 18:39:49
"--ieee=synopsys" sagt, soweit ich die manpages verstehe, dem Compiler/Linker etc
dass zusätzliche Bibliotheken wie in diesem Fall auch die "IEEE.std_logic_arith" eingebunden
werden sollen
Re: GHDL Fehler
29.11.2012 15:19:45
Sorry, Sie haben nicht die erforderliche Berechtigung, um in diesem Forum zu schreiben.