Betreff: [VHDL] Umwandlung std_logic_vector(5 downto 0) integer


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von der Achim am 22. Juli 2003 um 00:14 Uhr.
 
Hallo zusammen!

Ich habe gerade Probleme mit dem Anpassen des Rechenwerks an das PIC-Interface in VR4V4A2.

Mein Problem ist, dass mein Rechenwerk (wie auch die Musterlösung) mit integer-Variablen arbeitet, Heiko Falks Interface aber mit std_logic_vector(5 downto 0). Um das Interface in seiner Funktionalität nutzen zu können, müsste ich ja nun zwischen den beiden Typen umwandeln, ich konnte aber bislang nur für die Richtung integer -> std_logic_vector(5 downto 0) die Funktion CONV_STD_LOGIC_VECTOR im std_logic_arith Package finden. Wie wandele ich in die andere Richtung um? Oder gibt es eine Möglichkeit, die arithmetischen Funktionen +, - und * direkt auf std_logic_vector anzuwenden?
Danke für baldige Hilfe!

Gruß,

der Achim


Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert