Betreff: Re: Exponent in VHDL


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von Bettina Müller am 29. Mai 2003 um 10:30 Uhr.
Als Antwort auf Exponent in VHDL gesendet von Bettina Müller am 29. Mai 2003 um 09:15 Uhr.
 
: address <= (2**a)-1;

natürlich
address <= 2**a

Sorry ...

und LOOP 1 to a funktioniert auch nicht, hilft nichts, als "COPY an PASTE"

Gruss

Bettina


Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert