Betreff: Exponent in VHDL


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von Bettina Müller am 29. Mai 2003 um 09:15 Uhr.
 
Im VDHL Manual finde ich folgendes:

"Finally, the exponentiation (**) operator can
have an integer or ...."

aber leider (trotz korrekter Typ Definition der Ports a und address)

aber ...
BEGIN
PROCESS
BEGIN
address <= (2**a)-1;
END PROCESS;
...

Ergibt die Fehlermeldung, dass der Operator "**" nicht unterstützt wird ?

Was mache ich falsch ?

Gruss

Bettina


Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert