Uni-Logo
English       Login
Rechnerarchitektur - Arbeitsgruppe Bernd Becker
        Startseite         |         Institut für Informatik         |         Technische Fakultät
 
Name Alexander Czutro, Dr. Alexander Czutro, Dr.
Adresse Technische Fakultät Albert-Ludwigs-Universität Freiburg Georges-Köhler-Allee 051 79110 Freiburg im Breisgau Deutschland
Büro Gebäude 51, Raum 01-031
Telefon +49-761-203-8157
Fax +49-761-203-8142
eMail aczutro@informatik.uni-freiburg.de
Website http://www.aczutro.de
Sprechstunde nach Vereinbarung

Alexander Czutro

Jahre: 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

    2014

    Icon: top nach oben zur Jahresübersicht
    • Matthias Sauer, Ilia Polian, Michael E. Imhof, Abdullah Mumtaz, Eric Schneider, Alexander Czutro, Hans-Joachim Wunderlich, Bernd Becker
      Variation-Aware Deterministic ATPG
      2014 IEEE European Test Symposium , Seiten: 1 - 6

    2013

    Icon: top nach oben zur Jahresübersicht
    • Linus Feiten, Matthias Sauer, Tobias Schubert, Alexander Czutro, Victor Tomashevich, Eberhard Böhl, Ilia Polian, Bernd Becker
      #SAT for Vulnerability Analysis of Security Components
      2013 (Workshop-Paper, Informal Proceedings) IEEE European Test Symposium
    • Matthias Sauer, Alexander Czutro, Tobias Schubert, Stefan Hillebrecht, Ilia Polian, Bernd Becker
      SAT-based Analysis of Sensitisable Paths
      2013 Test of Computers, Band: 30, Nummer: 4, Seiten: 81 - 88

    2012

    Icon: top nach oben zur Jahresübersicht
    • Alexander Czutro, Michael Imhof, Jie Jiang, Abdullah Mumtaz, Matthias Sauer, Bernd Becker, Ilia Polian, Hans-Joachim Wunderlich
      Variation-Aware Fault Grading
      2012 IEEE Asian Test Symp., Seiten: 344 - 349
    • Linus Feiten, Matthias Sauer, Tobias Schubert, Alexander Czutro, Eberhard Böhl, Ilia Polian, Bernd Becker
      #SAT-Based Vulnerability Analysis of Security Components -- A Case Study
      2012 IEEE International Symposium on Defect and Fault Tolerance (DFT), Seiten: 49 - 54
    • Matthias Sauer, Stefan Kupferschmid, Alexander Czutro, Sudhakar M. Reddy, Bernd Becker
      Analysis of Reachable Sensitisable Paths in Sequential Circuits with SAT and Craig Interpolation
      2012 Int'l Conf. on VLSI Design
    • Matthias Sauer, Stefan Kupferschmid, Alexander Czutro, Ilia Polian, Sudhakar M. Reddy, Bernd Becker
      Functional Justification in Sequential Circuits using SAT and Craig Interpolation
      2012 GI/ITG Workshop “Testmethoden und Zuverlässigkeit von Schaltungen und Systemen”
    • Matthias Sauer, Stefan Kupferschmid, Alexander Czutro, Ilia Polian, Sudhakar M. Reddy, Bernd Becker
      Functional Test of Small-Delay Faults using SAT and Craig Interpolation
      2012 Int'l Test Conf., Seiten: 1 - 8
    • Alexander Czutro, Matthias Sauer, Ilia Polian, Bernd Becker
      Multi-Conditional ATPG using SAT with Preferences
      2012 GI/ITG Workshop “Testmethoden und Zuverlässigkeit von Schaltungen und Systemen”
    • Alexander Czutro, Matthias Sauer, Ilia Polian, Bernd Becker
      Multi-Conditional SAT-ATPG for Power-Droop Testing
      2012 IEEE European Test Symp.
    • Jie Jiang, Matthias Sauer, Alexander Czutro, Bernd Becker, Ilia Polian
      On the Optimality of K Longest Path Generation Algorithm Under Memory Constraints
      2012 Conf. on Design, Automation and Test in Europe, Seiten: 418 - 423
    • Matthias Sauer, Alexander Czutro, Bernd Becker, Ilia Polian
      On the Quality of Test Vectors for Post-Silicon Characterization
      2012 IEEE European Test Symp.
    • Alexander Czutro, Matthias Sauer, Tobias Schubert, Ilia Polian, Bernd Becker
      SAT-ATPG Using Preferences for Improved Detection of Complex Defect Mechanisms
      2012 VLSI Test Symp.
    • Matthias Sauer, Alexander Czutro, Ilia Polian, Bernd Becker
      Small-Delay-Fault ATPG with Waveform Accuracy
      2012 Int'l Conf. on CAD, Seiten: 30 - 36

    2011

    Icon: top nach oben zur Jahresübersicht
    • Jie Jiang, Matthias Sauer, Alexander Czutro, Bernd Becker, Ilia Polian
      On the Optimality of K Longest Path Generation
      2011 Workshop on RTL and High Level Testing
    • Matthias Sauer, Alexander Czutro, Ilia Polian, Bernd Becker
      Estimation of Component Criticality in Early Design Steps
      2011 IEEE Int'l Online Testing Symp., Seiten: 104 - 110
    • Matthias Sauer, Jie Jiang, Alexander Czutro, Ilia Polian, Bernd Becker
      Efficient SAT-Based Search for Longest Sensitisable Paths
      2011 Test Symposium (ATS), 2011 20th Asian, Seiten: 108 - 113
    • Matthias Sauer, Alexander Czutro, Tobias Schubert, Stefan Hillebrecht, Ilia Polian, Bernd Becker
      SAT-Based Analysis of Sensitisable Paths
      2011 IEEE Design and Diagnostics of Electronic Circuits and Systems, Seiten: 93 - 98

    2010

    Icon: top nach oben zur Jahresübersicht
    • Alexander Czutro, Ilia Polian, Matthew Lewis, Piet Engelke, Sudhakar M. Reddy, Bernd Becker
      Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability Analysis
      2010 International Journal of Parallel Programming, Band: 38, Nummer: 3-4, Seiten: 185 - 202

    2009

    Icon: top nach oben zur Jahresübersicht
    • Alexander Czutro, Ilia Polian, Piet Engelke, Sudhakar M. Reddy, Bernd Becker
      Dynamic Compaction in SAT-Based ATPG
      2009 IEEE Asian Test Symp.
    • Alexander Czutro, Bernd Becker, Ilia Polian
      Performance Evaluation of SAT-Based ATPG on Multi-Core Architectures
      2009 Test Symposium
    • Marc Hunger, Sybille Hellebrand, Alexander Czutro, Ilia Polian, Bernd Becker
      Robustheitsanalyse stark fehlersicherer Schaltungen mit SAT-basierter Testmustererzeugung
      2009 GMM/ITG-Fachtagung “Zuverlässigkeit und Entwurf”
    • Marc Hunger, Sybille Hellebrand, Alejandro Czutro, Ilia Polian, Bernd Becker
      ATPG-Based Grading of Strong Fault-Secureness
      2009 IEEE Int'l Online Testing Symp.
    • Nicolas Houarche, Alejandro Czutro, Mariane Comte, Piet Engelke, Ilia Polian, Bernd Becker, Michel Renovell
      An Electrical Model for the Fault Simulation of Small-Delay Faults Caused by Crosstalk Aggravated Resistive Short Defects
      2009 VLSI Test Symp.
    • Nicolas Houarche, Alejandro Czutro, Mariane Comte, Piet Engelke, Ilia Polian, Bernd Becker, Michel Renovell
      Deriving an Electrical Model for Delay Faults Caused by Crosstalk Aggravated Resistive Short Defects
      2009 Latin-American Test Workshop
    • Alejandro Czutro, Bernd Becker, Ilia Polian
      Performance Evaluation of SAT-Based Automatic Test Pattern Generation on Multi-Core Architectures
      2009 GI/ITG Int'l Conf. on Architecture of Computing Systems, Many-Cores Workshop
    • Alejandro Czutro, Bernd Becker, Ilia Polian
      Performance Evaluation of SAT-Based ATPG on Multi-Core Architectures
      2009 GI/ITG Workshop “Testmethoden und Zuverlässigkeit von Schaltungen und Systemen”
    • Alejandro Czutro, Ilia Polian, Matthew Lewis, Piet Engelke, Sudhakar M. Reddy, Bernd Becker
      TIGUAN: Thread-parallel Integrated test pattern Generator Utilizing satisfiability ANalysis
      2009 Int'l Conf. on VLSI Design, Seiten: 227 - 232

    2008

    Icon: top nach oben zur Jahresübersicht
    • Alejandro Czutro, Nicolas Houarche, Piet Engelke, Ilia Polian, Mariane Comte, Michel Renovell, Bernd Becker
      A Simulator of Small-Delay Faults Caused by Resistive-Open Defects
      2008 IEEE European Test Symp., Seiten: 113 - 118
    • Alejandro Czutro, Ilia Polian, Matthew Lewis, Piet Engelke, Sudhakar M. Reddy, Bernd Becker
      TIGUAN: Thread-parallel Integrated test pattern Generator Utilizing satisfiability ANalysis
      2008 edaWorkshop

    2007

    Icon: top nach oben zur Jahresübersicht
    • Ilia Polian, Alejandro Czutro, Sandip Kundu, Bernd Becker
      Power Droop Testing
      2007 Test of Computers, Band: 24, Nummer: 3, Seiten: 276 - 284

    2006

    Icon: top nach oben zur Jahresübersicht
    • Ilia Polian, Alejandro Czutro, Sandip Kundu, Bernd Becker
      Power Droop Testing
      2006 Int'l Conf. on Computer Design, Seiten: 243 - 250

    2005

    Icon: top nach oben zur Jahresübersicht

    2004

    Icon: top nach oben zur Jahresübersicht
    • Ilia Polian, Bernd Becker, Alejandro Czutro
      Compression Methods for Path Delay Fault Test Pair Sets: A Comparative Study
      2004 IEEE European Test Symp., Seiten: 263 - 264