Alle Beiträge dieses Benutzers
Seite 1 von 1 Seiten: 1
Ergebnisse 1 — 27 von 27
Gibts denn einen Grund wieso die Noten noch nicht Eingetragen sind?
Bei mir ist noch keine einzige Note eingetragen.... :(
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
noch eine letzte Frage:
wie kommt man bei der Funk. Dekom. auf das g(a,b)?
In der Vorlesung hat Prof. Scholl das Publikum raten lassen, auf der Musterlösung stehts einfach da, aber gibts da irgend wie eine Methode?
oder gehts nur durch einen scharfen Blick?
Gruß
W
von
Wlr
-
Rechnerarchitektur SS 2013
Du musst zuerst Aufgabe 2A machen, dann brauchst du an sich auch kein Polynom mehr. Dann kannst es intuitiv von oben runter zeichnen.
So habe ich das aufjedenfall gut hinbekommen.
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
In Kapitel 5 Folie 36 steht, dass der Lee Algorithmus garantiert die kürzeste Verdrahtung findet.
Auf Übungsblatt 4 Aufgabe 4b) soll man ein Netz erstellen in dem das nicht der Fall ist.
Bezieht sich Folie 36 nur auf ein Netz mit zwei Punkten? weil an sich widerlegen wir ja in der Übung den Satz auf der Folie.
oder erkenne ich was falsch?
mfG
W
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
bei Tomasulo werden ja die Register umbenannt.
Bei dem Beispiel in der Vorlesung werden aber nur die Values geändert.
Das verwirrt mich ein wenig.
Und bei Src1 und Src2 steht dann auch nur der Value.
Value ist doch der Inhalt im Register oder?
Was passiert wenn man sowas hier hat.
R2 = irgend was
..
..
..
..
R5 = R2
beim Umbennen würde doch das R5 = R2 das R2 gar nicht me
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
ich nehme an, dass wir in der Klausur , falls das dran kommt, für Scoreboarding bzw Tomasulo die Tabellen bekommen?
also dass wir nicht 10 Tabellen selber zeichnen müssen? :P
von
Wlr
-
Rechnerarchitektur SS 2013
VHDL - 10 Jahre
Hallo
wie wichtig ist VHDL für die Klausur?
d.h. müssen wir was in VHDL schreiben können?
Grüße
Wlr
von
Wlr
-
Rechnerarchitektur SS 2013
Wir ESE Leute haben nächste Woche von Mo-Fr von 8-17 Vorlesung/Übung/Praktikum.
Wenn es möglich ist dann bitte diese Woche noch.
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
wäre es vllt möglich Änderungen an den Blättern hier bekannt zu geben?
ich hatte mir das Blatt ausgedruckt und hab mich in der Übung heute die ganze Zeit gefragt wieso die gezeigten Aufgaben andere Nummern hatten.
war etwas verwirrend :D
und ausserdem hab ich gar nicht alle Aufgaben machen können dadurch.
Danke!
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
ich habe noch nie C/C++ geschrieben bzw damit gearbeitet.
was bedeutet die folgende Zeile
// Die Belegungen der Eingaenge der Schaltkreise
int a1 = 0xFFFF0000;
was ist 0xFF....???
noch eine Frage zu dem Funktionsaufruf
ich möchte eine Variable Deklarieren die einen Wert aus einer Void Methode bekommt.
Die Variable soll den ,s' Wert aus dem Halbaddierer bekommen. wie m
von
Wlr
-
Rechnerarchitektur SS 2013
Hallo
eine Frage zu der Aufgabe 2 auf Blatt 1
Die Befehle:
ADD R13 R14 R16
ADD R8 R9 R13
stehen direkt untereinander. in der Aufgabe steht, dass das Ergebnis erst im übernächsten Schritt bekannt ist.
Frage ist nun: welcher Wert von R13 wird bei dem zweiten ADD benutzt? der alte R13 oder der Wert, der grad in der Zeile drüber berechnet wurd???`
Wlr
von
Wlr
-
Eingebettete Systeme WS 2012/2013
Hallo
bei Aufgabe 1 soll man ja so ein Erreichbarkeitsgraphen erstellen ausgehend von der Startbelegung.
wenn ich das Bild richtig gezeichnet habe gibt es allerdings keine Events die feuern können und wo es danach auch weiter geht.
bei mir ist nach RG1, RG2 Schluss. es gibt also keinen Pfad zu G1 oder G2.
ist das richtig so?
von
Wlr
-
Eingebettete Systeme WS 2012/2013
Wenn wir grad schon beim Thema Spaß sind.
wäre es vllt möglich, dass die Interseite auch am Wochenende funktioniert. weil Mittwoch abend noch schnell das Übungsblatt hinklatschen macht KEIN Spaß.....
von
Wlr
-
Eingebettete Systeme WS 2012/2013
Hallo mal wieder
mein Compiler sagt mir dass:
primary unit "std_logic_arith" not found in library "ieee"
Code
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- für "conv_std_logic_vector"
der ausm Designvorschlag.
von
Wlr
-
Eingebettete Systeme WS 2012/2013
Hallo
ich hab mir GHDL runtergeladen für WINDOWS. Was kleines geschrieben und kompiliert.
Will ich das nun mit
ghdl -r test.vhd
ausführen, bekomm ich
C:\Program Files(x86)\GHDL\Bin\ghdl.exe:*command-line*:bad character in identifier
keine ahnung was mir das Programm sagen will damit :P
muss ich irgend was noch beachten? hab das ganz standardmäßig installiert.
von
Wlr
-
Eingebettete Systeme WS 2012/2013
Hallo ;-)
eine frage :
Bleibt der Übungsportal Account bestehen oder wird der nach dem Semester gelöscht?
also damit auch unsere abgeschickten Lösungen?
liebe Grüße
von
Wlr
-
Technische Informatik WS 2011/12
das ist das einzig gute in Physik.
die haben so eine tabelle erstellt mit den matrikelnummern und den erreichten Pkten.
wäre aufjedenfall interessant
von
Wlr
-
Technische Informatik WS 2011/12
wird es noch eine probeklausur geben?
weil nach dem Testat sind ja nun wieder 2 Monate Stoff dazugekommen.
da wärs doch sinnvoller die eventuelle Prob.Klaus. zu präsentieren. oder?
Zum Inhalt: Tipps wie man sich am besten auf TI vorbereiten kann ;-)
von
Wlr
-
Technische Informatik WS 2011/12
jo hallo
könntet ihr vllt die Folien zur Evaluation hochladen, weil wir S-Bahn leute konnten ja nicht bis zum schluss da bleiben....
wäre sehr nett ;-)
von
Wlr
-
Technische Informatik WS 2011/12