Willkommen! Einloggen Ein neues Profil erzeugen

erweitert

vhdl - process oder nicht?

geschrieben von drdreii 
vhdl - process oder nicht?
26.03.2008 02:09:29
hab da ne frage zu den folgenden vhdl lösungsvarianten:

entity and is
port(a,b : in bit; c : out bit);
end and;

architecture behavior1 of and is
begin
c <= a and b after 2 ns;
end behavior1;

architecture behavior2 of and is
begin
process(a,b):
begin
c <= a and b after 2 ns;
end process;
end behavior2;


wie unterscheiden sich behavior1 und behavior2?
ja, ich habs jetzt selbst gesehen. behavior1 ist das gleiche, also ein impliziter prozess!



1 mal bearbeitet. Zuletzt am 26.03.2008 02:13 von drdreii.
Sorry, Sie haben nicht die erforderliche Berechtigung, um in diesem Forum zu schreiben.