Betreff: Re: Schleifen


Antwort(en)   Eine Antwort erstellen   Diskussionsforum


Gesendet von cd am 25. Juni 2003 um 18:02 Uhr.
Als Antwort auf Schleifen gesendet von Philipp am 25. Juni 2003 um 12:46 Uhr.
 
: Hi,
: hat irgendjemand mal ein Anleitung, wie man eine While- oder Repeat-schleife in VHDL programmiert?
: Bitte mit Abbruchbedingung usw.
: Danke
: Philipp
ja und nein.
also die syntax dafür kriegst du in google, das hab ich acuh gemacht.
Allerdings hatte ich beim compile das problem, dass das feature nicht supported ist für unseren chip.
Also entweder man kann das irgendwie umgehen oder man läßt die while schleifen gleich.


Antwort(en)


Eine Antwort erstellen

Name:
EMail:
Betreff:
Nachricht:
 


       Letzte Änderung: März 2003, Tobias Schubert